A new standard could let companies build processors out of Lego-like chiplets

A new standard could let companies build processors out of Lego-like chiplets

[ad_1]

The world’s biggest chipmakers are coming together to create a new Universal Chiplet Interconnect Express (UCIe) system for integrating chiplets together in future semiconductor designs.

Virtually every major name in processor technology is onboard with the standardization effort, including foundry owners like Intel, TSMC, and Samsung and major players in semiconductor-adjacent spaces, like AMD, Arm, Qualcomm, Meta, Google Cloud, and Microsoft.

As the name alludes, UCIe is aiming to take the same broad ecosystem model PCIe (peripheral component interconnect express) has used for years, expanded over to chiplets— tiny, more specialized chips that only do a few specific functions.

The goal with UCIe is to create a standard for connecting chiplets together, making it easier for companies to mix and match different chiplet components when building SoCs. The idea is that tech companies will be able to simply slot in different chiplet components into their designs, similar to how you can simply slot in any PCIe compatible accessory to your computer (regardless of the individual companies that made each part).

Broadly speaking, there are two ways to make a modern system-on-a-chip (SoC). Integrated monolithic chips, the most traditional method, pack all the bits and pieces of a semiconductor into a single printed piece of silicon.

Chiplets take a different approach. Instead of making one big chip with all the components on it, chiplets break things up into smaller components that are then combined into a larger processor.

There are a few benefits to the chiplet system. Chiplets can lead to less waste (for example, if a core doesn’t work, it’s easier to throw away one of two eight-core chiplets than it is to lose a full 16-core monolithic chip). There are also benefits in chip design, allowing companies to shrink down critical components (like CPU cores) to new, smaller processing nodes without having to shrink down the entirety of the SoC to match. Lastly, combining chiplets together lets companies make bigger chips than they could with a single, monolithic design.

AMD’s recent Zen 2 and Zen 3-based Ryzen chips are some of the most prominent examples of modern chiplet designs: each Zen 3 processor, for example, is made out of 7nm eight-core chiplets for the CPU / GPU components from TSMC, combined with an I/O chiplet built on older nodes from GlobalFoundries.

The UCIe project is still very much in the early stages, though. Right now, the standardization process is focused on establishing rules for interconnecting chiplets together into broader packages. But there are plans to create a UCIe industry organization that will eventually help define next-generation UCIe technology, including “chiplet form factor, management, enhanced security, and other essential protocols” in the future.

That means that there could one day be an entire chiplet ecosystem that would let companies build a custom SoC by shopping around for different components to suit their needs — just like you’d build a gaming PC. And that’s a big benefit for companies like AMD or Qualcomm as they look to design and build even more powerful and complex chips going forward (which, in turn, is good motivation for foundries like TSMC and Samsung to get on board, too.)

[ad_2]